Развертывание нейронных сетей с помощью платформы Vitis AI

51 990 ₽

Сложность: средний
Продолжительность: 2 дня
Формат участия: в классе/онлайн
Расписание: по согласованию

Описание курса
Этот курс описывает, как использовать платформу разработки Vitis AI (Artificial Intelligence) в сочетании с алгоритмами DNN (Deep Neural Network), моделями, выполнением, обучением и фреймворками на облачных и локальных вычислительных платформах.

Основное внимание уделяется:
– Порядку использование инструментов Vitis AI
– Использованию архитектурных особенностей Deep Learning Processor Unit (DPU)
– Оптимизации модели с помощью квантователя AI и компилятора AI
– Использованию библиотеки Vitis AI для оптимизации функций пред. и постобработки
– Созданию пользовательской платформы и приложения
– Развертыванию дизайна

Продолжительность
2 дня

Кому будет полезен курс?
Разработчикам программного и аппаратного обеспечения, инженерам AI/ML (Artificial Intelligence / Machine Learning), специалистам по обработке данным и всем, кому нужно ускорить свои программные приложения с помощью устройств Xilinx.

Что нужно знать заранее?
– Базовые знания концепции машинного обучения
– Комфортное владение языками программирования C/C++/Python
– Знание процесса разработки программного обеспечения

Программное обеспечение
– Среда разработки Vitis AI
– Vivado Design Suite

Аппаратная платформа
Карты ускорителей Xilinx Alveo, Xilinx SoCs, и ACAPs

По завершении курса вы будете иметь все необходимые навыки для того чтобы:
– Описывать решения машинного обучения Xilinx в среде разработки Vitis AI.
– Описывать поддерживаемые фреймворки, модели сетей, и предварительно обученные модели для облачных и локальных приложений.
– Использовать алгоритмы DNN, модели, выполнение и обучение, а также фреймворки на платформах облачных и локальных вычислений.
– Использовать квантователь Vitis AI и компилятор AI для оптимизации обученной модели.
– Использовать архитектурные особенности DPU, чтобы оптимизировать модель для локального приложения.
– Знать высокоуровневые библиотеки и API, которые поставляются с Xilinx
– Создавать пользовательское аппаратное решение на основе требований приложения.
– Создавать собственное приложение с помощью настраиваемого аппаратного решения и развертывать дизайн

Структура курса

День 1
Введение в среду разработки Vitis AI
Описывается среда разработки Vitis AI, которая состоит из комплекта разработки Vitis AI, для развертывания AI на аппаратных платформах Xilinx, включая как SoC, так и платы ускорителей Alveo. (Лекция)
Обзор концепций машинного обучения
Обзор концепций машинного обучения, таких как алгоритмы DNN, модели, выполнение, обучение и Фреймворки. (Лекция)
Фреймворки, поддерживаемые средой разработки Vitis AI
Обсуждается поддержка многих распространенных Фреймворков машинного обучения, таких как Caffe, TensorFlow и Pytorch. (Лекция)
Настройка среды разработки Vitis AI
Демонстрируются шаги по настройке хост-машины для разработки и запуска выполнения AI в облаке или SoC. (Демонстрация)
AI Optimizer
Описывается оптимизация обученной модели, которая может сократить модель до 90%.Эта тема предназначена для опытных пользователей и будет подробно рассмотрена в учебном курсе Advanced ML. (Лекция)
AI Quantizer и AI Compiler
Описывается квантователь AI, который поддерживает квантование модели, калибровку и точную настройку. Также описывается порядок применения инструментов компилятора AI.С помощью этих инструментов алгоритмы глубокого обучения могут быть развернуты на Deep Learning Processor Unit (DPU), который представляет собой эффективную аппаратную платформу, работающую на Xilinx FPGA или SoC. (Лекция, Лабораторная работа)
AI Profiler и AI Debugger
Описывается профилировщик AI, который обеспечивает послойный анализ дляустранения «узких мест». Также рассматривается отладка работы DPU. (Лекция)
Введение в DPU
Описывается процессор глубокого обучения (DPU) и его варианты для локальных и облачных приложений. (Лекция)
Обзор архитектуры DPUCADX8G
Обзор архитектуры DPUCADX8G, поддерживаемых операций CNN и рекомендации по дизайну. (Лекция)
Обзор архитектуры DPUCZDX8G
Обзор архитектуры DPUCZDX8G, поддерживаемых операций CNN, порядок обработки данных в DPU и рекомендации по дизайну. (Лекция)

День 2
Библиотека Vitis AI
Обзор библиотеки Vitis AI, которая представляет собой набор высокоуровневых библиотек и API, созданных для эффективного выполнения нейронной сети в DPU. Библиотека предоставляет простой в использовании и унифицированный интерфейс для инкапсуляции множества эффективных и высококачественных нейронных сетей.
Создание пользовательской аппаратной платформы с помощью Vivado Design Suite Flow (дляSoC)
Описываются шаги по созданию проекта Vivado Design Suite, добавлению DPUCZDX8G IP и запуску проекта на отладочной плате с SoC. (Лабораторная работа)
Создание DPU кернела с помощью среды Vitis
Иллюстрируются шаги по созданию проекта в Vitis, который добавляет DPU в качестве ядра (аппаратного ускорителя) и запускает проект на плате. (Лабораторная работа)
Создание пользовательской аппаратной платформы с помощью Vitis Environment Flow (для SoC)
Описываются шаги для создания проекта в платформе Vitis, которая добавляет DPU в качестве ядра (аппаратного ускорителя), и запускает дизайн на отладочной плате. (Лекция)
Создание пользовательского приложения
Иллюстрируются шаги по созданию пользовательского приложения: созданию образа Linux, оптимизации обученной модели и использованию оптимизированной модели для ускорения дизайна. (Лабораторная работа)

записаться на обучение